To ja też poproszę jedną sztukę.

Jestem zainteresowany. Wysłałem PW.

3

(707 odpowiedzi, napisanych Fabryka - 8bit)

@Simius ponieważ paczkę do mnie wysłałeś już po naprawie znalezionego błędu chciałem się zapytać czy może mój egzemplarz już został załatany? Podejrzewam, że nie ale zapytać zawsze warto :)

4

(221 odpowiedzi, napisanych Sprzęt - 8bit)

1. perinoid - 3 szt.
2. lopez - 2 szt.
3. uicr0Bee - 4 szt.
4. przebor - 1 szt.

5

(221 odpowiedzi, napisanych Sprzęt - 8bit)

Również byłbym chętny na tę płyteczkę. x_angel może dasz się namówić na drugi rzut?

6

(707 odpowiedzi, napisanych Fabryka - 8bit)

Ja aktualnie będę chciał wykorzystać analogowe wyjście RGB z sophii2 i podłączyć je do TV do złącza SCART.
Dlatego chciałbym się zapytać czy do płytki będzie również dodana odpowiednia taśma? Jedna strona taśmy pasująca do wyjścia analogowego na płytce, z drugiej strony gniazdo (DB9 ?) do montażu w obudowe Atari.

7

(25 odpowiedzi, napisanych Fabryka - 8bit)

A niedałbyś się namówić na wyprodukowanie kolejnej sztuki do samodzielnego montażu? W sensie bez kabla SIO, bez sio2sd, obudowa niepomalowana. Taki zestaw obudowa + płytka + przyciski + diody + naklejki na obudowe do zmontowania we własnym zakresie.

Jeślli nie to przygarnę płytkę pod klawisze o ile się nie spóźniłem.

8

(57 odpowiedzi, napisanych Fabryka - 8bit)

Przyszło mi to do głowy ponieważ zapoznałem się z instrukcją :)

9

(57 odpowiedzi, napisanych Fabryka - 8bit)

Mq serdecznie dziękuje za kartridż. Dziś go uruchomiłem i oczywiście wszystko działa. Fajnie się wkłada carta, wystarczy lekko dopchnąć i jakby sam wskakuje na swoje miejsce. Dodam, że od razu też przeprowadziłem programowanie carta z poziomu Atari i również wszystko pięknie zadziałało. Dodam jedną rzecz w uzupełnieniu do informacji które podał Mq.

Jeśli:
- mamy włożony cartridge do Atari
- a w sio2sd mamy załadowany plik atr z obrazem programu do flashowania

Teraz jeśli uruchomimy komputer z wciśniętym klawiszem OPTION to załaduje się program z atr, a nie z kartridża. Dzięki temu możemy uniknąć manipulacji kartridżem przy włączonym komputerze.

Dla mnie to świetne rozwiązanie. Możemy sobie wgrać zestaw kilku gierek, a jak się znudzą zmieniamy na inne. Właściwie można nie używać sio2sd, jedynie jest potrzebne do wgrania obrazu nowego kartridża.

10

(28 odpowiedzi, napisanych Sprzęt - 8bit)

Sikor dzięki za info. Poczekam jeszcze czy kolega barty odpisze.

11

(57 odpowiedzi, napisanych Fabryka - 8bit)

Cześć Mq. Chętnie bym kupił kartridż jeśli jeszcze coś Ci zostało :)

12

(28 odpowiedzi, napisanych Sprzęt - 8bit)

barty79 czy masz może któryś z tych układów w nadmiarze i mógłbyś sprzedać? Kupiłbym jedną sztukę najchętniej ten w wersji z dodatkową płytką (16v8).

13

(106 odpowiedzi, napisanych Fabryka - 8bit)

poproszę jeden komplet dla mnie

14

(707 odpowiedzi, napisanych Fabryka - 8bit)

Otwieram kolejną :)

1.ZuluGula - 2szt.
2.pancio.net - 1 szt.
3._kriss_ - 1 szt.
4.Sniegowy - 1 szt.
5.dragmar - 1 szt.
6.pawel - 1 szt.
7.atarixegs - 1 szt.
8.seban - 1 szt.
9.sun - 1 szt.
10. lopez - 1szt.
11. perinoid - 1szt.
12. bocianu - 1szt.
13. sikor - wstępnie 1, docelowo 2szt
14. uicr0Bee - 1 szt.
15. KrISS - 1 szt.
16. tooloudtoowide - 1 szt.
17. Montezuma - 1 szt.
18. Duddie - 2 szt.
19. Yezy - 2 szt.
20. Pablozp - 1 szt.
21. Dez3 -  1 szt.
22. rjw - 1 szt.
23. takron27 - 1szt.
24. MGor - 1 szt.
25. antek - 1 szt.
26. Atari-User 2 szt (lub 3szt. zależy jaka będzie cena).
27. Relnet13 -1 szt.
28. Mono - 2 szt.
29. Mq - 1szt.
30. Renton - 1szt.
31. Antek - 1 szt.
32. JLS - 1 szt.
33. hsimpson 1 szt.
34. Drakon - 1 szt.
35. G'Kar - 1 szt. (lub 2 szt w zależności od ceny)
36. Krzy65siek - 1 szt.
37. qbahusak - 1 szt.
38. JohnBlbec - 1 piece (if it's possible to send to czech)
39. as... 1szt
40. RATAI 1szt.
41. Zaslav 1 szt.
42. darpajdp 1szt.
43. Emu 1szt.
44. OlaHime 1szt.
45. madness77 1szt.
46. jer
47. e-gen
48. infarmotyk - 1szt.
49. marpla - 1szt.
50. Cobol - 1 szt.
51. przebor - 1szt.

15

(77 odpowiedzi, napisanych Fabryka - 8bit)

Kit,
1. ZuluGula - 1szt
2. Lopez - 1 szt, wysyłka razem z płytką SIMMExp
3. perinoid - 1szt + zaprogramowany EPROM (standardowy OS, QMEG, standard+patch turbo, 4-ty nieistotny).
4. pancio.net - 1szt.
5. JLS - 1 szt.
6. _kriss_ - 1szt.
7. sun - 1 szt + zaprogramowany EPROM (poproszę taki zestaw jak perinoid - jeśli już będziesz miał spaczowany OS).
8. piwkooo - 1szt.
9. Sikor 1szt (szczegóły ustalimy jak będziesz miał, ok?)
10. przebor - 1szt. + zaprogramowany EPROM

Gotowiec,
1. ZuluGula - 1szt + 1szt EPROM
2. uicr0Bee - 1 szt + 1szt EPROM - wysyłka razem do lopeza.

16

(323 odpowiedzi, napisanych Fabryka - 8bit)

ok. zatem dopisuje się :)

1. ZuluGula 3szt. (plus SRAM, dogadamy na PM)
2. QuadMan 2szt. (potwierdź kolego chęć, bo minęło trochę czasu)
3. lopez 1szt.
4. toriman1 2szt.
5. przebor 1szt.

17

(323 odpowiedzi, napisanych Fabryka - 8bit)

Można się jeszcze dopisać do listy?

18

(11 odpowiedzi, napisanych Bałagan)

Polecam zakupy u Łukasza. Karta 8800 już pracuje u mnie w komputerze.

19

(11 odpowiedzi, napisanych Bałagan)

lukasz7x6 wysłałem PW w sprawie 8800.

20

(11 odpowiedzi, napisanych Bałagan)

Jestem zainteresowany 8800. Czy mógłbyś się upewnić że karta działa?
Miałem podobny model i niestety padł z przegrzania (za dużo kurzu się zebrało na wirniku) dlatego wolałbym mieć pewność przed zakupem.