Temat: programowanie AVR/STM/FPGA

nie wiem, czy się zaraz nie przywalą, ale czy Ktoś mógłby tak w niezbyt uproszczonym skrócie napisać jak programuje się w systemach AVRy STMy i FPGA? na przykład coś o JTAGu?

2

Odp: programowanie AVR/STM/FPGA

Podłączasz JTAG'a i programujesz. Proste jak budowa tranzystora N-MOS.

"tatusiu zobacz, narysowałam tobie takie same coś jak na twojej koszulce" 
https://github.com/willyvmm/mouSTer
jmp $e477

3

Odp: programowanie AVR/STM/FPGA

ja cię kręcę!!! genialne!!! dziękuję!!!

4

Odp: programowanie AVR/STM/FPGA

Zespół PN-Junctions, grający gatunek Bare Metal, napisze o tym utwór :) Zaprezentujemy go na corocznym zlocie fanów kryptonimu ID-10 T

"Was powinny uzbrojone służby wyciągać z domów do punktów szczepień, a potem zamykać do pi* za rozpowszechnianie zagrożenia epidemicznego" - Epi 2021
"Powinno się pałować tylko tych co tego nie rozumieją. No i nie szmatki i nie chirurgiczne tylko min FFP3, to by miało jakiś sens. U mnie we firmie, to jak przychodzi bezmaskowiec, to stoi w deszczu przed firmą" - Pin 2021

5

Odp: programowanie AVR/STM/FPGA

lądujemy Panowie, lądujemy...
w temacie...

6

Odp: programowanie AVR/STM/FPGA

Ciężko jest napisać poradnik w wątku, pogooglaj, sporo tego w sieci jest.

Arguing with an engineer is a lot like wrestling in the mud with a pig, after a couple of hours you realize the pig likes it...

7

Odp: programowanie AVR/STM/FPGA

ale ja nie wiem czego szukać, jakby Ktoś podał jakąś sprawdzoną stronę/strony?

8

Odp: programowanie AVR/STM/FPGA

Szukaj tego samego czego szukasz tu.

Nikt nam lekkiego życia nie obiecał i słowa dotrzymał.
8bitów ; dereatari
Apple Hadware

9

Odp: programowanie AVR/STM/FPGA

@jer: otwierasz puszkę z Pandorą... ;)

I Ty zostaniesz big endianem...

10

Odp: programowanie AVR/STM/FPGA

Witam szanowne grono.
Czy ktoś już się bawił kostką 4313 i z jakiego programu korzystał?

11

Odp: programowanie AVR/STM/FPGA

np jakim softem się programuje? zakładając, że mamy FPGA Altery i Xilinxa?

12

Odp: programowanie AVR/STM/FPGA

Do Xilixa najlepiej zainstaluj ISE Webpack edition (jakoś tak sie to zwie). Masz tam cały pakiet do twożenia i programowania.
Samo programowanie w sensie fizycznym wykonuje program który sie zwie IMPACT. Musisz mieć też oczywiście Xilinx platform cable, żeby mieć się jak połączyć z układem.

"tatusiu zobacz, narysowałam tobie takie same coś jak na twojej koszulce" 
https://github.com/willyvmm/mouSTer
jmp $e477

13

Odp: programowanie AVR/STM/FPGA

A do Altery polecam Quartus II, bardzo fajne środowisko. Oczywiście również kabelek Altera USB cable wymagany, aby połączyć PC z programowanym układem.

Arguing with an engineer is a lot like wrestling in the mud with a pig, after a couple of hours you realize the pig likes it...

14

Odp: programowanie AVR/STM/FPGA

dzięki Panowie, może to są i proste rzeczy, ale jak ktoś nic nie wie to i tyle się przyda.

a jak to jest z tymi FPGA które nie mają flasha, tylko trzeba wgrywać przy uruchomieniu?

no i takie pytanie, mamy płytkę z FPGA, płytka jest zmontowana, ale fpga nie zaprogramowany,
jaka jest metodologia, żeby wszystkiego nie uwalić, przed/w czasie/po programowaniu?

czy te fpga mają jakiś tryb tri-state?

15

Odp: programowanie AVR/STM/FPGA

Te które nie mają Flasha, wymagają zewnętrznej pamięci, z której wczytują program przy uruchomieniu. Zacznij może od prostych układów CPLD, one zapamiętują program i nie wymagają Flasha zewnętrznego. Poza tym, najprostsze serie jak np. 9500 Xilinxa (XC9536XL, XC9572XL itd) lub 3000 Altery (EPM3032, EPM3064 itd) mają wejścia tolerujące 5V. Oczywiście przy zasilaniu 3,3V. Z wysterowaniem CMOS może być problem, ale często rezystor podciągający załatwia sprawę, czasem można zastosować translatory napięć. TTL wysteruje bez problemu. FPGA nie tolerują 5V na wejściu, więc musisz uważać, bo łatwo je zniszczyć. Zresztą nowoczesne FPGA są zasilane z 1,8V lub 2,5V.
A co do tri-state - to są bardzo nowoczesne układy i typ wyjścia zależy od programu... Jak zaprogramujesz jako tri-state, będzie tri-state. W Verilogu na przykład prosty inwerter z wejściem Enable:

module bezrobotny (A, Enable, Y);
  input A,Enable ;
  output Y;

  assign Y = (~A) ? Enable: 1'bZ;
endmodule

'bZ oznacza stan wysokiej impedancji.

W VHDLu trochę dłużej, ale dla niektórych bardziej czytelnie:

library ieee; 
use ieee.std_logic_1164.all;

entity bezrobotny is
  port(A : in  std_logic; 
      Enable : in  std_logic; 
      Y : out std_logic); 
end bezrobotny; 

  begin 
    process (A, Enable)
      begin 
        if (Enable='0') then 
            Y <= A; 
        else 
            Y <= 'Z'; 
        end if; 
    end process; 

'Z' - stan wysokiej impedancji.

Arguing with an engineer is a lot like wrestling in the mud with a pig, after a couple of hours you realize the pig likes it...

16

Odp: programowanie AVR/STM/FPGA

dzieki! z tym tristate to chodziło mi o to jaką metodologię stosuje się w uruchamianu urządzeń z fpga, czyli wszystko lutujemy, a na końcu programujemy... czy układ przed zaprogramowaniem posiada wszystkie piny jako tristate czy wejścia?

P.S. jeśli Ktoś ma zainstalowane te programy, to prosiłbym o podesłanie screenów jak uruchamia się programowanie w tych środowiskach (programowanie fpga), emaila podam zainteresowanym coby nie zawalić sobie skrzynki ;o)

17

Odp: programowanie AVR/STM/FPGA

To jakie wejścia ma układ, czy jak się zachowują zależy BARDZO od tego jaki to układ. MUSISZ przeczytać datasheety żeby się o tym dowiedzieć bo ... reguły nie ma ...

"tatusiu zobacz, narysowałam tobie takie same coś jak na twojej koszulce" 
https://github.com/willyvmm/mouSTer
jmp $e477