26

Odp: W odpowiedzi na Corine

taa, nie ma, daj mi gerbery i licencje to zobaczysz!

Dwa korce ziemniaków, gęsich jajek kopa, żeby móc to połknąć, tęgiego trza chłopa. GG3456993

27

Odp: W odpowiedzi na Corine

to byl autorouter
v2 jesli powstanie, to z battery backuped ram

przechodze na tumiwisizm

28

Odp: W odpowiedzi na Corine

Yyyy? a co do tego ma autorouter ? dawaj jak jest, pusci sie 30 testowych , battery sie zrobi piggy up, lol

Dwa korce ziemniaków, gęsich jajek kopa, żeby móc to połknąć, tęgiego trza chłopa. GG3456993

29

Odp: W odpowiedzi na Corine

back nie up
nie ma sensu, za duzo zwarc - 3 na 6 plytek z czynnikiem gamma
trzeba zrobic porzadny routing

przechodze na tumiwisizm

30

Odp: W odpowiedzi na Corine

no tak, czynnik gamma, a nie wierzyles jak mowilem, routing nie pomoze, zawsze im moze sprzataczka  na swieze pltyki nazamiatac albo cos . Tak swoja droga z ostatniej setki PCB  juz 6 odpadu plus 7 ktore uratowalem z lupa w oku.

Dwa korce ziemniaków, gęsich jajek kopa, żeby móc to połknąć, tęgiego trza chłopa. GG3456993

31

Odp: W odpowiedzi na Corine

dajcie sobie spokój z taką firmą

pomidor

32

Odp: W odpowiedzi na Corine

Candle: Nie ma chętnych, bo napisałeś, że tylko 3 sztuki i tylko dla developerów.

33

Odp: W odpowiedzi na Corine

george, to jest nosnik, tak jak dyskietka, z tym ze w tej chwili, to ow nosnik wymaga duzo pracy wlasnej i wiedzy zeby z niego skozystac
3 sztuki byly przeznaczone dla developerow - zeby mogli sprawdzic czy takie rozwiazanie im odpowiada, cena byla tez dumpingowa - mimo tego nie bylo chetnych
wiec po co ja mam sobie dup zawracac?

przechodze na tumiwisizm

34

Odp: W odpowiedzi na Corine

No właśnie. Cierpliwości - rynek jest płytki i trzeba czasu żeby zaskoczył. Mnie się podoba ten 'cartridge' i jakby był już przystępny technologicznie (czyt. oprogramowany), to pewnie bym chciał mieć na nim SDX, RAM DISK itp.
Teraz pewnie wszyscy grają w Kolony 2106 :)

35

Odp: W odpowiedzi na Corine

no to cos ambitniejszego:

jak wiadomo, carty SDX sa przelotowe - no wiec czemu nie

to poudajmy sobie 4 cartdridge OSS (Action!, Basic XL, Basic XE oraz MAC/65) ktore mozna wlaczyc poleceniem CAR z poziomu SDX

POKE $D5C0,n przelacza cartridge, a polecenie CAR wlacza wybrany
i tak n=0 wlaczy nam Action, n=1 Basic XL itd

cala zabawka zajmuje 28% ukladu, calosc jest flaszowalna z poziomu Atari

RD4_Int<='0';

WR0<=NOT (PHI2 AND NOT RW);
WR1<=NOT (PHI2 AND NOT RW);
RD<=NOT (PHI2 AND RW);
CLK<=NOT CCTL AND PHI2;

ROM<=NOT (NOT S5 AND ENABLED);
CS0<='1';

ROM_Mapper:                process (SDX_REG,OSS_REG,EXTERN)
    begin
        if EXTERN='1' then
            AB(5)<='1';
            AB(4 downto 2)<=CAR_TYPE;
            AB(1)<=OSS_REG(1);
            AB(0)<=OSS_REG(0);
        else
            AB(5)<='0';
            AB(4)<='0';
            AB(3)<=not SDX_REG(4);
            AB(2)<=not SDX_REG(2);
            AB(1)<=not SDX_REG(1);
            AB(0)<=not SDX_REG(0);
        end if;
    end process;
    
CAR_TYPE_Register:    process (clk, A_Bus,DataIN)
    begin
        if A_Bus(7 downto 5)="110" and RW='0' and falling_edge(clk) then
            CAR_TYPE<=DataIN(2 downto 0);
        end if;
    end process;
    
SDX_Register:            process (clk, A_Bus)
    begin
        if A_Bus(7 downto 5)="111" and RW='0' and falling_edge(clk) then
                SDX_REG<=A_Bus(4 downto 0);
            end if;
    end process;

SDX_Mux:                    process (SDX_REG,S4,S5,RD4_Int,RD5_Int)
    begin
        if    SDX_REG(3)='1' and SDX_REG(2)='1' then                    -- "11" - SDX Off, Ext Off
            S4_Int<='1';
            S5_Int<='1';
            RD4<='1';
            RD5<='1';
            EXTERN<='0';
            ENABLED<='0';
        elsif SDX_REG(3)='1' and SDX_REG(2)='0' then                -- "10" - SDX Off, Ext On
            S4_Int<=S4;
            S5_Int<=S5;
            RD4<=RD4_Int;
            RD5<=RD5_Int;
            EXTERN<='1';
            ENABLED<='1';
        else                                                                    -- "01" or "00" - SDX On, Ext Off
            S4_Int<='1';
            S5_Int<='1';
            RD4<='0';
            RD5<='1';
            EXTERN<='0';
            ENABLED<='1';
        end if;
    end process;
    
OSS_Register:            process (clk, A_Bus)
    begin
        if A_Bus(7 downto 5)="000" and RW='0' and falling_edge(clk) then
                OSS_REG(1)<=A_Bus(3);
                OSS_REG(0)<=A_Bus(0);
            end if;
    end process;

OSS_Enable:                process (OSS_REG)
    begin
        if OSS_REG="10" then
            RD5_Int<='0';
        else
            RD5_Int<='1';
        end if;

    end process;
przechodze na tumiwisizm

36

Odp: W odpowiedzi na Corine

A dałoby się mieć take kuszi do:
- XL OS
- QMEG3
- QMEG4
- pakiet FP szybki (nie pamiętam kogo)
- pakiet FP szybki z BASICa XE
- inny pakiet FP szybki

hex, code and ror'n'rol
niewiedza buduje, wiedza rujnuje

37

Odp: W odpowiedzi na Corine

tak, juz jest
nazywa sie ultimate1mb
a to mial byc cart

przechodze na tumiwisizm

38

Odp: W odpowiedzi na Corine

Coś przeoczyłem. Dzięki.

hex, code and ror'n'rol
niewiedza buduje, wiedza rujnuje

39

Odp: W odpowiedzi na Corine

Urządzonko candla jest genialne :)

Pobawiłem się trochę i teraz mamy wprute do niego:
1. SpartaDOS X 128kB (flaszowalna)
2. Action, Basic XL, Basic XE, Mac/65 (4 kartridże typu OSS)
3. Maxflash 1MB
4. Diamond GOS
Do każdego z tych "slotów" mamy dostęp, tzn. wybieramy rejestrem numer wirtualnego kartridża i piszemy CAR w Sparcie (która obecnie steruje wszystkim). Bankowanie jest niezależne dla każdego ze slotów. Programy nie muszą być więc paczowane, bo mogą używać swojego oryginalnego bankowania. W końcu można zobaczyć jak ładnie Sparta współpracuje z kartridżami OSS :)
Wirtualnego Maxflasha programujemy plikiem .BIN, takim jak do normalnej wersji (ale flaszer musiałem zrobić inny).

Docelowo Diamond GOS zostanie zastąpiony drugim Maxflashem, bo chyba taka konfiguracja będzie bardziej użyteczna.

40

Odp: W odpowiedzi na Corine

No. Widziałem na party.

Cóż, ale odzew mizerny więc produkcji nie będzie :(
A takie fajne.

41

Odp: W odpowiedzi na Corine

No to jak wam brakuje ludzi to ja się pisze chętnie do testów :-)
to ilu jeszcze brakuje osób ?

42

Odp: W odpowiedzi na Corine

Też bym chętnie nabył ;) To a propos odzewu ;)

43

Odp: W odpowiedzi na Corine

Ja takze,

Dwa korce ziemniaków, gęsich jajek kopa, żeby móc to połknąć, tęgiego trza chłopa. GG3456993

44

Odp: W odpowiedzi na Corine

dwie sztuki poprosze ;)

45

Odp: W odpowiedzi na Corine

jedną prosze

pozdrawiam

Sniegowy

46

Odp: W odpowiedzi na Corine

Gdyby po przetestowaniu przez developerów ruszyła seryjna produkcja, to w rozsądnej cenie byłbym zainteresowany na pewno 1 szt.
Tylko bałem się to pisać bo nie wiem czy nie wpłynie to na wydłużenie Twojej Candle pracy nad moim xegsem... mam nadzieję że nie :)

--== Kup Pan/i dyskietkę - jedyna taka oferta w całym InterNetCie - http://www.atari.org.pl/forum/viewtopic.php?id=18887 ==--

<-- Kontakt przez "E-mail" albowiem moja skrzynka "PW" jest pełna i zaprawdę nie mam czego usunąć.

47

Odp: W odpowiedzi na Corine

generalnie na wydluzenie mojej pracy nad czymkolwiek wplywa remont i moja zabawa w jednoosobowa ekipe budowlano-remontowa (nie majac o tym pojecia)

przechodze na tumiwisizm

48

Odp: W odpowiedzi na Corine

Wyrazy współczucia

Nikt nam lekkiego życia nie obiecał i słowa dotrzymał.
8bitów ; dereatari
Apple Hadware